Industry Conferences and Events

IEEE Electron Devices Technology and Manufacturing Conference
Hilton and Hilton Garden Inn Bengaluru Embassy Mantaya Business Park

Date

Presentation

Presenter

Location

March 3, 2024Logic Technology RoadmapGaurav TharejaEast Hall, SuperTHEATER
March 4, 2024ComputLitho – An Indigenous Optical Lithography Simulator with Novel FeaturesPardeep KumarTBD
Enabling Next Generation CMOS Scaling Through Materials Engineering and Process Technology Mehul NaikTBD
Semiconductor Fabs & SustainabilityNeela AyalasomayajulaTBD
March 5, 2024GAA Technology Innovations for 2nm Logic node and BeyondEl Mehidi BaziziTBD
Coupling Reactor-scale and Feature-Scale Simulations: ProcessTwin™ for Unit ProcessesRajesh SathiyanarayananTBD
Evolution of Maskless Digital Lithography A game-changer for AdvancedAshwini Aggarwal
TBD
March 6, 2024e-beam technology innovation for EUV, Gate all around logic and Advance Memory accelerationNitin Singh MalikTBD
Roughness as an Important Metric for Si and SiGe Epi GrowthYogendra YadavTBD

China Semiconductor Technology International Conference
Shanghai International Convention Center

Date

Presentation

Presenter

Location

March 17, 2024Integrated Module Approach to Solutions in the Specialty Device MarketMichael Chudzik3rd Floor Auditorium
Tailoring the deposition and composition of advanced oxide and SiCN films to deliver the highest bonding energy for fusion and hybrid bonding applicationsZongbin Wang5th Floor Yangtze River Hall
Novel Etch Solution with Sym3 for Logic BEOL Patterning Etch ApplicationsHui Sun3rd Floor (3H+3I+3J)

I​MAPS Device Packaging Conference​​​
WeKoPa Resort and Conference Center

Date

Presentation

Presenter

Location

March 19, 2024
Materials-Technology Co-Optimization (MTCO) for Inter-Die-Gap-Fill (IDGF) in Heterogeneous Integration of Chiplets (TA1)Sean Seutter et al.TBD

Past Events

SPIE Advanced Lithography
San Jose McEnery Convention Center

Date

Presentation

Presenter

Location

February 27, 2024Enabling a superior augmented reality experience with high performance waveguidesLudovic GodetGrand Ballroom 220C
Pattern shaping optimization and applicationsYung-Chen LinRoom 211B
Real time EPE measurement as a yield correlated metrology on advanced DRAM nodesMichael Shifrin (Israel)Hall 2
February 28, 2024Performance comparison of photosensitive polyimides for high resolution dual damascene schemes for FOWLP packaging applicationsLuisa D. BozanoGrand Ballroom 220C
Low landing energy as an enabler for optimal contour based OPC modeling in the EUV era, The importance of less damaging and surface sensitive metrology to identify true EUV process monitoringRan Alkoken (Israel)Grand Ballroom 220B
Matching in a data-driven worldMor Baram (Israel)Grand Ballroom 220B
Engineering functional resist underlayers to reduce LWR, minimum cd, and dose for chemically amplified resist, Enabling high resolution pillar patterning using metal oxide resist by functional underlayer designSudha RathiHall 2
B-spline and Bézier curvilinear representations: a comparative studyBenjamin Venitucci (France)Hall 2
February 29, 2024New ecosystems of metrology, inspection and test are needed for advanced packaging heterogenous integrationOfer Adan (Israel)Grand Ballroom 220B

International Wafer-Level Packaging Conference
Hyatt Regency San Francisco Airport

Date

Presentation

Presenter

Location

February 14, 2024Advanced Bonding Films for 3D Wafer Level IntegrationDoug LeeTBD

Chiplet Summit
Santa Clara Convention Center

Date

Presentation

Presenter

Location

February 7, 2024Keynote 1: Applied MaterialsSubi KengeriGreat America Ballroom J

SEMICON Korea

2024년 1월 31일(수) - 2월 2일(금)

COEX(코엑스)

일시

발표

연사

위치

1월 31일(수)

S2. Advanced Materials & Process Technology
Overlay and Wafer Shape Control in Semiconductor Manufacturing

Pradeep Subrahmanyan

308, 3F

2월 1일(목)MI Forum
Novel Technology in Defect Review Enables Yield-limiting-defects Inspection of EUV and 3D Gate-All-Around Smaller and Buried Defects
Sarvesh Mundra402, 4F
2월 1일(목)S4. Plasma Science and Etching Technology
Enabling Dry Etching of sub-10 Nm Features at Cryogenic Temperature
Sumit Agarwal307, 3F

2월 2일(금) 

Women-in-Technology
Great Place to Work in Career Journey

Jungsun Jessie Kim

401, 4F

2월 2일(금)

Meet the Experts!
Road to Customer Engineers in the Semiconductor Industry

Bobae Lee

401, 4F

Electronics Packaging Technology Conference

Date

Presentation

Presenter

Location

December 5, 2023Die-to-Wafer Hybrid bonding to address next-gen Electronics Packaging ChallengesAvi ShantaramGrand Ballroom 
December 5, 2023Panel Session 1: Chiplets IntegrationArvind SundarrajanGrand Ballroom 
December 5, 2023Panel Session 2: Artificial Intelligence for Package Design and ManufacturingVincent DicaprioGrand Ballroom 

IEEE International Electron Devices Meeting

Date

Presentation

Presenter

Location

December 12, 2023Tungsten Interconnect Resistance Reduction Enabling Energy Efficient and High Performance Applications for 2nm Node and BeyondGaurav Thareja et al.Continental 4
December 12, 2023Sustainability-Aware Technology Development at Applied MaterialsBen Gross, E. Neville Reyes, S. KapadiaGrand Ballroom A
December 12, 2023AI: Semiconductor Catalyst? Or Disrupter?Anantha Sethuraman 

SEMICON Japan
December 13 – 15, 2023

Date

Presentation

Presenter

Location

December 13, 2023Enabling Semiconductor Scaling through 3D Materials EngineeringEllie YiehEast Hall, SuperTHEATER

SEMICON Europa
November 15 – 16, 2023

Date

Presentation

Presenter

Location

November 15, 2023Rethinking Automation CultureChris ReevesExecutive Forum, Hall B2
November 15, 2023Continuous Sustainability Improvements in Subfab Operation Using Advanced Communication Capabilities as a Cooperative Effort of Multiple StakeholdersAndreas NeuberICM Munich, Room 14a 
November 16, 2023The Road to High Volume Manufacturing: Applied Materials Solutions for Silicon CarbideDavid Britz
 
Executive Forum, Hall B2

Presented by Applied Materials: Presentation and Discussions at SEMICON West 2023

Wednesday, July 12

Time

Presentation

Presenter and Role

Location

8:30am -
10:45am PT

CEO Summit: Path to Net Zero

Presenter:
Gary Dickerson, President and Chief Executive Officer

Keynote Stage, Room 24, North Lower Lobby

10:30am -
12:30pm PT

Smart Mobility – Meeting The Demands of Affordable Electrification

Panel Moderator:
Gopal Prabhu, Strategy & Business, ICAPS

Smart Mobility Stage, Moscone South 

11:00am - 11:25am PT

Tales from Interns and Recent Graduates

Presenter:
Isabel Chapa, Applied Physics Intern
 

Workforce Development Theater, Moscone North, Exhibition Level, Hall F

12:35pm -
12:35pm PT

Smart Manufacturing: EcoTwin - An Integrated Solution for Sustainability in Semiconductor Manufacturing

Presenter:
Ala Moradian, CAE Programs & Digital Twins Lead, Director

Smart Manufacturing Meet the Experts Theater, Moscone North, Exhibition Level, Hall F

2:00pm -
4:14pm PT

Smart Mobility – Intelligent Sensors for Smart Mobility

Panel Moderator:
Shiva Rai, Director of Technology, Compound Semiconductors & Photonics, ICAPS

Smart Mobility Stage, Moscone South

2:00pm - 
2:25pm

Opportunities from Failure – A Day in the Life of an Engineer

Presenter:
Alison Nalven, Account Manager

Workforce Development Theater, Moscone North, Exhibition Level, Hall F

2:30pm-
2:55pm

SEMI PFAS WG Focus on Equipment and Articles

Presenter:
Ben Gross, Chemist

Moscone North, Exhibition Level,
Room 20-21

3:05pm-
3:25pm

Creating a Cyber Resilient Semi Industry Together

Presenter:
Kannan Perumal, VP and Chief Information Security Officer

Moscone North, Exhibition Level,
Room 21

Thursday, July 13

10:35am - 10:50am PT

Smart Mobility – Connecting Automotive to SiC Manufacturing

Presenter:
David Britz, Head of Strategic Marketing for ICAPS

Moscone North, Exhibition Level,
Room 21

10:55am -
11:15am PT

Three Innovations in Ebeam Technology to Accelerate EUV Lithography, Gate-All-Around Transistors and High Aspect Ratio Memories & Interconnects

Presenter:
Ofer Adan, Sr. Director of Tech Collaborations and Strategic Business Development, Imaging and Process Control Group

TechTalk Stage, Moscone South, Exhibition Level, Room 4

1:30pm -
1:50pm PT

Die-to-Wafer Hybrid Bonding to address Next-Gen Electronics Packaging Challenges

Presenter:

Avi Shantaram, Business Development & Kinex Global Product Director, Advanced Packaging

Moscone South, Exhibition Level,
Room 11