NANOCHIP FAB SOLUTIONS EXPRESS

 

April 2019 Edition

Wide Band Gap the Revolution in Power Semiconductors

 
By Llew Vaughan-Edmunds

New government regulations and industry standards are leading companies to adopt wide bandgap (WBG) power solutions, both to reduce their carbon footprint and to meet increasing demand for higher power systems aimed at electric vehicles, renewable energy, datacenters, and other markets.

The automotive industry is one of the biggest markets driving demand for WBG power devices. The European Union has set tough emission reduction targets,1 ordering carmakers to achieve 98 grams or less of CO2 per kilometer by 2020 for vehicle fleets. To achieve this level, automakers need to offer a mixed portfolio of hybrid and electric vehicles. In 2017, 73 million passenger cars were produced worldwide. While only about 4 million of those were EVs and hybrids, the number is expected to grow significantly (figure 1).

alt-image

Figure 1: Tough new emission targets will force automakers to offer a mixed portfolio of electric and hybrid vehicles. (Source: Yole Développement)

A typical electric vehicle contains $500 of semiconductor content now. However as Advanced Driver Assistance Systems (ADAS) progress, the industry will see increased demand in communications, telemetry, and infotainment, requiring over a kilowatt more of power.

With more onboard sensors and more data transmitted between vehicles and the cloud, it is expected that about 4,000 GB of data will be transmitted per day for each car. That will require over 400 hyper scale datacenters, just for the automotive industry.

Wide Band Gap Materials

As new systems push for increased power densities and higher efficiencies, silicon technology simply is not efficient enough, and WBG materials need to be introduced that can offer higher performance.

Silicon carbide (SiC) and gallium nitride (GaN) are compound materials that have existed for over 20 years, starting in the military and defense sectors. They are very strong materials compared to silicon and require three times the energy to allow an electron to start to move freely in the material. This larger energy gap (or wider band gap) gives these materials superior qualities, such as faster switching, higher efficiency, and increased power density (figure 2).

One of the main advantages in WBG power transistors is the dramatic reduction of switching losses. Think of a switch turning on and off. It takes a certain amount of time to get from the ON state to the OFF state. During this transition time, power is wasted. The goal is for the device is to switch as quickly as possible to reduce power loss and increase the efficiency of the transistor.

alt-image

Figure 2: Performance Advantages of SiC MOSFETS versus IGBTs.

Ferrari vs. Hummer

These two WBG semiconductors are now gaining market acceptance, primarily due to their unique characteristics. GaN is like a lightning-fast Ferrari, while SiC is like a supercharged Hummer. GaN, with high power density and very fast switching, will be an alternative to superjunction MOSFETs in some applications, such as power adaptors, server power supplies, and on-board chargers (OBCs) for electric vehicles.

SiC MOSFETs will begin to step into the insulated-gate bipolar transistor (IGBT) arena, targeting 1200V and above, where ruggedness, fast switching and high power are required for markets such as electric vehicle traction inverters, industrial power supplies, and solar inverters (figure 3).

alt-image

Figure 3: GaN is focused at ≤650V for high power density power supplies, whereas SiC focuses at ≥1200V for industrial applications. (Source: Yolé Developpement)

Evolving SiC Supply Chain

The SiC supply chain is working diligently to meet market demand due to the rapid acceptance of SiC power solutions over the last few years. Today, North Carolina-based Cree, Inc. is the major supplier of SiC substrates, and they and others are making significant investments to accelerate supply. During a recent Cree earnings call, company CEO Gregg Lowe said, "Within the EV market, the interest in silicon carbide is extremely high because the value proposition is so strong. Utilizing silicon carbide saves space, reduces cooling requirements, and allows for a smaller, lower-cost battery. These benefits far outweigh the incremental cost."

ST Microelectronics recently secured a long-term supply agreement2 with Cree for SiC substrates. Other device manufacturers are moving toward a fully integrated approach, from making the SiC wafers to power device processing.

alt-image

Tesla Model 3 Traction inverter, showing the SiC MOSFET power modules from ST Microelectronics. (Source: Pntpower)

Materials & Processing Challenge

The main challenge for SiC manufacturing relates to its material properties. SiC is almost as hard as diamond material and requires higher temperatures, higher energies and more time for crystal growth and processing. SiC is grown very slowly to form a 4-/6-inch boule about 35-50mm high. Typically, 15-20mm of that is single crystalline, where 15-20 wafers can be used for each boule. Compare this to a silicon ingot, utilizing the Czochralski process, which can be up to 2 meters high and produce around 2,000 wafers each (figure 4).

alt-image

Figure 4: Silicon Carbide (left) versus Silicon Boule (Source: GTAT, Silicon Wafer)

The quality of the seed, substrate and epitaxial layer is vital for SiC MOSFETs and diodes, as they are vertical devices. SiC has orders of magnitude more growth defects than silicon, which results in a higher probability of device failures. Killer defects such as basal plane dislocations (BPDs) and screw dislocations need to be reduced for SiC to provide higher wafer yields.

At the device level, SiC requires higher implant energies, temperatures, and new dopants for device processing. The layering of gate oxide is critical due to charge trapping between the interface materials when the device is being switched on and off. New generations of MOSFET are moving to trench gates, which cause challenges when growing the oxide due to the different growth rates on the various trench walls (figure 5).

alt-image
Figure 5: Enhanced U-MOSFET designs feature trench gates, creating challenges when growing the oxide.(Source: Leti, ROHM)

Participating in SiC Industry

Working collaboratively with all key wafer makers, IDMs, and the surrounding supply chain to understand customer needs and market requirements, Applied Materials has been developing a range of SiC technology solutions that specifically address the manufacturability issues for this material technology. These include development of dedicated equipment for high temperature ion implant and an SiC chemical mechanical polishing (CMP) tool capable of doubling wafer throughput while maintaining surface quality.

Existing platforms, such as the Applied Endura and Applied Producer, have been adapted to handle transparent wafers, including load lock wafer mapping, clear-wafer orientation, and local center wafer placement.

Jamie Leighton, product line manager at Applied Materials, said the company and a major customer are partnering to boost throughput of the CMP step, thereby reducing the cost of the silicon carbide wafers. For the 150mm (six-inch) SiC substrates, CMP is used to remove surface roughness and create a defect-free layer prior to the high-temperature SiC epitaxial deposition step.

Applied and the wafer manufacturer have been able to roughly double the number of wafers per hour for the CMP step. "Whatever dollar figure they have assigned to the CMP process, they are going to realize a significant cost reduction," Leighton said.

Enabling Market Growth

SiC has started its acceleration into the market. With improved manufacturing processes, faster throughput, and economies of scale, the cost of SiC devices will decrease over time. Applied Materials will help enable this market growth with leading edge equipment dedicated for SiC and III-V materials.

For additional information contact llew-vaughan-edmunds@amat.com.


https://ec.europa.eu/clima/policies/transport/vehicles_en
https://www.cree.com/news-events/news/article/cree-and-stmicroelectronic...